Canalblog
Editer l'article Suivre ce blog Administration + Créer mon blog
Publicité
Pages personnelle de Ludovic Barrandon
Pages personnelle de Ludovic Barrandon
  • Ce blog décrit mon parcours universitaire et professionnel, indique la liste de mes publications scientifiques et techniques et propose de communiquer sur différents sujets des systèmes embarqués : FPGA, VHDL, microcontrôleurs, bus, télécomm...
  • Accueil du blog
  • Créer un blog avec CanalBlog
Publicité
Archives
4 février 2012

Mes publications

Manuscrit de thèse

L. Barrandon, « Synthèse Architecturale Analogique / Numérique Appliquée aux Systèmes sur Puce dans un Contexte Radio Logicielle », 8 décembre 2005, Université de Rennes 1, disponible sur HAL CNRS. http://tel.archives-ouvertes.fr/docs/00/06/50/84/PDF/these.pdf

Articles de journaux internationaux

K. Arab Tehrani, I. Rasoanarivo, L. Barrandon, M. Hamzaoui, F.-M. Sargos, M. Rafiei, « A New Current Control Using Two Hysteresis Modulation for a New 3-Level Inverter », IEEE Journal of Power Electronics, décembre 2010. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5510355

Conférences internationales

L. Barrandon, Thierry Capitaine, « Reliability Enhancement of Non Rad-Hard FPGAs for Satellite On-Board Computers », The First International Conference on Small Satellites Systems (CSSS), 5-8 avril 2011, Paris, France.

T. Capitaine, L. Barrandon, « Design of Image Transmission Solutions Based on the SSTV Protocol for Picosatellites », The First International Conference on Small Satellites Systems (CSSS), 5-8 avril 2011, Paris, France.

A. Amirahmadi, S. Rafiei, R. Seyed Mohammad, G. Griva, K. Arab Tehrani, L. Barrandon, « Design of Fractional order PID controller for Boost converter based on Multi-Objective optimization », 14th International Conference EPE-PEMC Conference 2010, Ohrid, Republic of Macedonia.

T. Capitaine, L. Barrandon, V. Bourny, A. Lorthois, L. Duval, « Intégration de solutions innovantes pour l’optimisation des procédures de la logistique de crise. Description d’un cas concret : les plans de Sauvetage AeroTERrestre », 8èmes Rencontres Internationales de la Recherche en Logistique, RIRL 2010, 29-30 septembre/1er novembre 2010, Bordeaux, France.

V. Bourny, T. Capitaine, L. Barrandon, C. Pégard, A. Lorthois, « A localization system based on buried magnets and dead reckoning for mobile robots », ISIE 2010 (International Symposium on Industrial Electronics), 4-7 juillet 2010, Bari, Italie.

T. Capitaine, V. Bourny, L. Barrandon, J. Senlis, A. Lorthois, « Robust satellite AX25 frames demodulation », ESA 4S (Small Satellite Systems and Services) Symposium, 31 mai - 4 juin 2010, Funchal, Madère.

T. Capitaine, L. Barrandon, J. Senlis, A. Le Mortellec, R. Astier, A. Lorthois, J. Claudon, V. Bourny, « A satellite tracking system designed for educational and scientific purposes », ESA 4S (Small Satellite Systems and Services) Symposium, 31 mai - 4 juin 2010, Funchal, Madère.

K. Arab Tehrani, I. Rasoanarivo, L. Barrandon, M. Hamzaoui, F.-M. Sargos, M. Rafiei, « A New Current Control Using Two Hysteresis Modulation for a New 3-Level Inverter », 12th International Conference on Optimization of Electrical and Electronic Equipment, OPTIM 2010, 20-22 mai 2010, Brasov, Roumanie. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5510355

L. Barrandon, T. Capitaine, C. Moy, N. Julien, L. Lagadec, T. Monédière, « Virtual SoPC rad-hardening for satellite applications, SYRIUS project », ReCoSoC'10 (Reconfigurable Communication-centric Systems on Chip), 17-19 mai 2010, Karlsruhe, Allemagne. http://books.google.fr/books?hl=fr&lr=&id=acONXARbP4AC&oi=fnd&pg=PA179&dq=Virtual+SoPC+rad-hardening+for+satellite+applications,+SYRIUS+project&ots=4y3As_glgK&sig=tHj52Nppnswp-f4HYwp8Udtm59c#v=onepage&q=Virtual%20SoPC%20rad-hardening%20for%20satellite%20applications%2C%20SYRIUS%20project&f=false

M. Soudan, R. Farrell, L. Barrandon, «On Time-Interleaved Analog-to-Digital Converters for DigitalTransceivers», International Symposium on Circuits And Systems, ISCAS 2009, 24-27 mai 2009, Taipei, Taïwan. http://eprints.nuim.ie/1454/1/iscas09ms.pdf

L. Barrandon, J. McCormack, T. Cooper, R. Farrell, « On the Accuracy and Hardware Requirements of a CORDIC Based Array Calibration System », EUCAP 2007, 2nd European Conference on Antennas and Propagation, 11-16 novembre 2007, EICC, Edinburgh, UK.

G. Baldwin, L. Ruiz, L. Barrandon, R. Farrell, « Low Cost Experimental Software Defined Radio System », SDR Technical Forum 2007, 5-9 novembre 2007, Denver, Colorado. http://eprints.nuim.ie/1391/1/sdr_conference_paper4.pdf

L. Barrandon, G. Baldwin, R. Farrell, « Hardware Implementation of a Versatile Low-Cost Mixed-Signal Platform for SDR Experimentation », SDR Technical Forum 2007, 5-9 novembre 2007, Denver, Colorado. http://eprints.nuim.ie/1392/1/4.3-3_LBarrandon.pdf

L. Barrandon, S. Crand, D. Houzet, « Systematic Figure of Merit Computation for the Design of Pipeline ADC », Design Automation and Test in Europe - DATE 2005, 7-11 mars 2005, Munich, Allemagne. http://www.date-conference.com/proceedings/PAPERS/2005/DATE05/PDFFILES/03B_4.PDF ou http://hal.inria.fr/index.php?halsid=lk55nq1f9sapas32kpmm8qbn65&view_this_doc=hal-00181528&version=1

L. Barrandon, S. Crand, D. Houzet, « Behavioral Modeling and Simulation of Mixed Signal Front-End for Software Defined Radio Terminals », International Symposium on Industrial Electronics - ISIE 2004, 5-7 mai 2004, Ajaccio, France. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=1571804

L. Barrandon, W. Gouret, S. Crand, D. Houzet, « Développement d’une Plateforme PCI Mixte Analogique-Numérique reconfigurable », 4èmes journées Optiques et Traitement de l’Information - Optique 2004, 15-16 avril 2004, Fès, Maroc.

Conférences nationales

L. Barrandon, J.-C. Prévotet, F. Nouvel « Auto-diagnostic and auto-repair of FPGA-based on-board computers in radiative environments: survey and novel approach - The DAGOBA project », 5ème colloque du GDR SOC-SIP du CNRS, 15-17 Juin 2011, Lyon. http://www2.lirmm.fr/~w3mic/SOCSIP/images/stories/Auto-diagnostic_and_auto-repair_of_FPGA-based_on-board_computers.pdf

T. Capitaine, L. Barrandon, V. Bourny, J. Senlis, « Développements et intégration logiciels et matériels pour l’optimisation de la recherche de balises de détresse dans le cadre des plans SATER. », Workshop Interdisciplinaire sur la Sécurité Globale (WISG 2011), 25-26 janvier 2011, Troyes.

T. Capitaine, V. Bourny, M. Hamzaoui, A. Lorthois, L. Barrandon, J. Senlis, « Décodage des trames émises par les balises de détresse 406.025 MHz », CETSIS (Colloque sur l'Enseignement des Technologies et des Sciences de l'Information et des Systèmes), 8 - 10 mars 2010, Grenoble, France.

L. Barrandon, S. Crand, « Plateforme Versatile d’Expérimentation Audio : Traitement et Synthèse Numérique », Journées sur l’Informatique Musicale, 12-14 avril 2007, Lyon. http://www.grame.fr/Recherche/JIM/jim07/download/01-Barrandon.pdf 

D. Laronche, L. Barrandon, S. Crand, H. Lélu, S. Lecomte, B. Mallet, D. Levallois, « Développement d’une Plateforme de Prototypage pour Applications Audio », 9èmes journées pédagogiques de la Coordination Nationale pour la Formation en Microélectronique, CNFM, 22-24 novembre 2006, pp. 159-162, Saint-Malo.

L. Barrandon, S. Crand, D. Houzet, « Outils d’Aide à la Conception de Systèmes Mixtes Analogiques/Numériques Dédiés à la Radio Logicielle », 3ème MAnifestation des JEunes Chercheurs en Sciences et Technologies de l’Information et de la Communication - MajecSTIC 2005, 16-18 novembre 2005, pp. 372-375, Rennes. http://hal.inria.fr/docs/00/04/40/76/PDF/122.pdf

L. Barrandon, S. Crand, D. Houzet, « Développement et Synthèse d’un Filtre Farrow Entièrement Générique en VHDL, Application à la Radio Logicielle Restreinte », Journées Nationales du Réseau Doctoral de Microélectronique JNRDM 2005, 10-12 mai 2005, Paris. http://jnrdm.free.fr/conf/Session%201/

S. Crand, L. Barrandon, C. Guillemot, C. Ménard, D. Levallois, « Mise en Œuvre et Modélisation d’une Chaîne d’Acquisition sur une Carte de Prototypage Mixte Analogique – Numérique », 8èmes journées pédagogiques de la Coordination Nationale pour la Formation en Microélectronique, CNFM, 1-3 décembre 2004, Saint-Malo.

L. Barrandon, S. Crand, D. Houzet, « Exploration Architecturale Appliquée au Front-End Mixte d’un Récepteur pour la Radio Logicielle Restreinte », Journées Nationales du Réseau Doctoral de Microélectronique - JNRDM 2004, 4-6 mai 2004, Marseille.

Publicité
Publicité
Commentaires
Publicité